From: Marcin Krol Date: Sun, 2 May 2021 12:44:52 +0000 (+0200) Subject: - one more fix for python3 X-Git-Url: https://git.tld-linux.org/?p=tld-builder.git;a=commitdiff_plain;h=ec320254f705e073031b3e42b599901d8f30798d;hp=d5c47ccb4a425d1b982888101273651b2d4db38d - one more fix for python3 --- diff --git a/TLD_Builder/request_fetcher.py b/TLD_Builder/request_fetcher.py index 226e4ee..9a1caa5 100644 --- a/TLD_Builder/request_fetcher.py +++ b/TLD_Builder/request_fetcher.py @@ -5,7 +5,7 @@ import signal import os import urllib import sys -from io import StringIO +from io import StringIO, BytesIO import gzip import path import log @@ -68,7 +68,7 @@ def fetch_queue(control_url): signal.alarm(0) log.error("can't fetch %s: %s" % (control_url + "/queue.gz", e)) sys.exit(1) - sio = StringIO() + sio = BytesIO() util.sendfile(f, sio) f.close() sio.seek(0)